Heracles 发表于 2019-9-28 16:42:35

FPGA网络培训班介绍

一、授课方式


在线录播课程,八节左右的直播课,每月一次潘老师答疑课,专用学习平台学习,老师随时在线辅导、答疑,远程交流形式完成课程。


二、适用人群:

1、在校大学生
2、对FPGA感兴趣学生
3、硬件工程师换职(转行者)
4、0基础学员,想从事FPGA工作,没有方向感。
5、FPGA实习生:无前辈带,天天加班
6、FPGA工程师提升高薪:轻松应付工作 快速提升设计经验,项目问题,无从下手设计
7、开发板学员:购买开发板自学较吃力,需要贴身老师来服务


三、上课时间
学员自由安排学习时间
辅导老师在线时间:周一--周四8:30-21:30 周五--周日8:30-18:00


四、教学目的


让学员具备开发能力以及实现就业,实践性的培训,让学员在最短时间内做最多的项目。通过项目实践,循环上升,完成一个一个老师布置的练习题目,提高自身设计能力,培训班培养学员的是思维逻辑能力,独立设计能力。

五、课程内容:

网络班基础部分
计数器部分章节明德扬计数器规范
明德扬计数器六步法
明德扬计数器训练
内容计数器部分共有56题设计题涉及:脉冲设计数值设计数字时钟设计UART串口设计VGA接口设计SPI接口设计SCCB\IC接口设计
达到效果1.掌握明德扬计数器模板,掌握计数器的设计步骤2.掌握至简设计法设计技巧和规范3.计数器思维训练,能做到给出功能做出设计
状态机部分章节明德扬状态机规范
明德扬状态机要点讲解
明德扬状态机训练
内容状态机共 18 道训练题型,其中涉及:波形产生状态设计自动售货机状态机设计通信和网络处理中的包文识别训练包文检测功能设计
达到效果1.掌握明德扬状态机模板,掌握状态机的设计步骤2.掌握至简设计法设计状态机的技巧和规范3.计数器思维训练,能做到给出功能做出设计
第四部分明德扬FIFO架构章节FIFO原理
FIFO架构原理
FIFO架构训练
内容FIFO 使用技巧一共包括 24 道设计,主要是通信、网络包文的处理。
达到效果使用 FIFO实现应用功能,掌握FIFO在实际项目中的重要性和应用
第五部分 软件使用章节QUARTUS软件使用
modelsim软件使用
测试文件编写
调试技巧、signaltap
内容quartus、modelsim的使用教程测试文件编写signaltap的使用
达到效果掌握quartus、modelsim常用软件的使用掌握软件仿真、上板验证的技巧掌握测试文件的编写掌握在线逻辑分析仪(signaltap)的使用
第六部分时序约束章节时序约束基本原理
时序约束训练
内容学习时序原理时序约束工具的使用时序约束概念时序约束步骤
达到效果掌握altera时序约束基本知识点和时序约束技巧
其他资料


主要内容包括:

序号项目内容序号项目内容
1至简设计法-计数器训练29千兆网接口-GMII接口设计
2至简设计法-四段式状态机训练30千兆网接口-MAC层协议
3至简设计法-FIFO使用训练31以太网IP核时钟管理、初始化流程和应用方法
4FPGA开发流程32以太网报文的结构
5测试文件循环激励产生33ARP请求包文、响应包文的设计和解析
6自动对比仿真的实现34UDP协议的实现
7UART协议和实35ICMP协议的实现
8VGA时序原理和接口设计36TCP IP协议
9高速SPI接口设计37检验码原理以及CRC的实现
10SCCB/IIC接口设计38以太网调试工具-小兵测试仪应用
11边沿检测方式39以太网抓包工具-wireshark应用
12拼接移位运算方法40以太网包文检测器的设计
13串并转换和并串转换的设计41以太网包文丢包机制
14调用PLL来倍频和分频42以太网包文高校传输机制
15RAM的读写技巧43原码、反码和补码的转换
16RAM的高级数据拼接技巧44基于补码的加减法运算
17RAM文件初始化45信号发生器的设计
18SOBEL边缘算法实现46FPGA时序原理
19图像滤波的设计技巧47FPGA的时钟、端口和内部约束
20摄像头采集的设计技巧48明德扬时序约束方法表
21动态图像乒乓缓存的实现方式49时序约束训练-四大工程的约束方法
22数据手册阅读方法50时序约束的错误解决方法
23SDRAM初始化实现51跨时钟域处理原理
24SDRAM的仲裁机制设计52时序报表解读
25SDRAM BURST传输实现读写方法53随路时钟方法
26DDR2IP核的生成54流水线设计
27用MODELSIM仿真DDR255如何阅读他人代码
28千兆网接口PHY芯片的应用56上板、仿真工具的应用




网络班项目部分
温度检测工程项目简介本项目主要是通过串口助手发送指令设置温度采集的使能、关闭信号,温度报警的上下限值,并将采集到的温度实时显示到数码管上。
掌握技能本工程包括 ASCII 和 HEX 的转换、串口、温度传感器控制、上位机(PC)与 FPGA 通信协议、串并转换、数码管动态显示等内容,一共需要设计 9 个模块设计
边缘检测工程项目简介本项目主要是实现按键按下后FPGA通过SCCB对摄像头OV7670初始化配置,然后摄像头输出行、场及RGB信号,FPGA再对识别后的RGB信号进行灰度转换、高斯滤波、图像二值化、加sobel算子、之后通过乒乓操作输出到VGA接口在显示器显示检测到的图像边缘
掌握技能本工程包括摄像头采集、摄像头配置、按键消抖、灰度转换、SOBEL 边缘检测、图像高斯滤波、图像存储控制、VGA
显示等内容,一共需要设计 9 个模块。
千兆网工程项目简介本项目主要是通过千兆以太网模块RTL8211,通过调用以太网IP核采用GMII模式,自己编写IP头、UDP头、ARP头的加包头(发送)、解包头程序(接收),初始化配置模块来实现千兆以太网的收发。
掌握技能千兆网工程包括网络基础知识、UDP 层协议打包和解包、IP 层协议打包和解包、MAC 层协议打包和解包、MAC IP 核的使用、PHY 芯片和 MAC IP 胡配置等,一共需要设计 8 个模块。掌握wireshark抓包、小兵发包
DDR工程项目简介本项目主要是先实现SDRAM的初始化、读、写、自动刷新操作了解SDRAM的工作工程,之后通过调用PLL以及DDR3 IP核实现DDR3的读写操作。
掌握技能本工程先从 SDRAM 逻辑设计开始,通过 5 个训练,初步建立 SDRAM、DDR 等相关时序的概念。然后掌握 DDR2 IP 核生成技巧、仿真技巧、上板过程,掌握 DDR2 的用户接口时序,并设计更加易用的 DDR2 接口。


六、教学特色



(一)至简设计法至简设计法是潘老师独创的fpga设计法,其最大的特点是设计模板化、思考步骤化。设计模板化,在设计上明德扬把一些功能模板规范成可复用的模板,设计者只需要填入参数就能把设计做出来,极大地减少代码上不必要的错误,设计者只需要专心做好设计。思考步骤化,至简设计法能帮助学者形成严谨的逻辑思维,做到设计有根据思考有步骤。
(二)三段式教学法明德扬培训是三段式教学法,是明德扬在“掌握实际操作技能”的“工程师教学”理论上发展而来的,针对FPGA教学的具体步骤。
1、基础阶段。
第一个阶段基础阶段,掌握FPGA设计所必修的至简设计法、软件工具、语言语法、六步法、变量法等方面内容,实现“给定功能即可实现”的效果。本阶段网络班培训需要1个月左右完成。
2、项目阶段
第二阶段为项目阶段,完成3-5个最具实用代表性的FPGA实际项目,达到“见多识广”的规范所通用的方法。运用这种方法,可以完成所有的项目。
3、综合项目:边缘检测+千兆网传输显示项目+上位机显示。本阶段由潘文明导师进行指导,达到在垂直应用领域“专”“精”的效果,实现从“小白”到“大牛”的突破。

七、课时
300个学时。完成时间看个人接受能力,进度是个人控制的。如果每天固定投入2-3小时,一般3个半月可以完结课程。当然越勤快进度就越快。

八、学习保障


1、付款后立即开通培训班权限;
2、提供开发板使用6个月,如6月没学完,可申请延期;
3、签订100%专业学习协议,包学包会,学会为止;
4、不定期举办“模拟面试”,增长学员的阅历;
5、优秀学员推荐就业。


九、学费
学费5999元,含开发板使用权、配套学习资源、一对一导师服务。

十、网络培训班优势


贴心服务


1、入学即制定最适合的学习计划;
2、8次直播讲课,每月一次潘老师直播答疑课;4、一年365天的导师在线辅导,答疑服务;5、工程师的训练,按照步骤学习,知识点个个击破;
6、导师及时纠正学习错误,方向偏差,实时解答,提供清晰的设计思路。

感兴趣的同学可以加兰老师微信了解
页: [1]
查看完整版本: FPGA网络培训班介绍